2019年8月6日火曜日

MachXO2 breakout boardでmc6800(4)

DiamondからFile List> >impl1>SCript Files>rtl_sim_testbench1.spfのダブルクリックでActiv-HDLを立ち上げました。
Activ-HDLが立ち上げ時、何をしているかは、
rtl_sim_testbench1.spfと同一ディレクトリーにあるrtl_sim_testbench1.adoを見ると何をしているかがわかります。

シミュレーションにsignalを追加します。

CPUのAccumulator AとAccumulator Bを波形に追加します。
Hierarchyでmy_testbenchを展開してcpu:cpu68を選択します。

Structureでaccaとaccbを複数選択しました。(Ctrlキー+またはShiftキー+)
Add to WaveformでWaveformに追加しました。(Waveformにドラッグしても可です)

Consoleから
>run 1us
と入力し1us分追加のシミュレーションをしました。

最初からシミュレーションする場合は
Simulation>Restart simulationして
Consoleから
>run 2us
と入力します。

0 件のコメント:

コメントを投稿